CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - 32 ALU

搜索资源列表

  1. 类sc

    1下载:
  2. 该文件以systemc为核心建立了32位alu,仅供参考-the document to SystemC as the core, a 32-bit ALU, is for reference only
  3. 所属分类:并行运算

    • 发布日期:2008-10-13
    • 文件大小:1303273
    • 提供者:asao
  1. ATmega128L

    0下载:
  2. ATmega128L单片机 数据手册 ATmega128L微控制器,它是采用低功耗COMS工艺生产的基于RISC结构的8位微控制器,是目前AVR系列中功能最强大的单片机。AVR核将32个工作寄存器和丰富的指令集联结在一起,所有的工作寄存器都与ALU直接相连,实现了在一个时钟周期内执行单条指令的同时访问两个独立寄存器的操作,具有良好的性价比。-ATmega128L SCM manual data ATmega128L microcontrollers, it is using low-p
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2008-10-13
    • 文件大小:2912053
    • 提供者:刘天
  1. alu_32_bit

    0下载:
  2. verilog 32-bit ALU-verilog 32-bit ALU
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:2286
    • 提供者:qwasqwas
  1. CPU

    3下载:
  2. 使用verilog作为CPU设计语言实现单数据通路五级流水线的CPU。具有32个通用寄存器、一个程序计数器PC、一个标志寄存器FLAG,一个堆栈寄存器STACK。存储器寻址粒度为字节。数据存储以32位字对准。采用32位定长指令格式,采用Load/Store结构,ALU指令采用三地址格式。支持有符号和无符号整数加、减、乘、除运算,并支持浮点数加、减、乘、除四种运算,支持与、或、异或、非4种逻辑运算,支持逻辑左移、逻辑右移、算术右移、循环右移4种移位运算,支持Load/Store操作,支持地址/立即
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:43474
    • 提供者:haotianr
  1. VHDL语言100例(普通下载)

    4下载:
  2. VHDL语言100例 VHDL语言100例 第1例 带控制端口的加法器 第2例 无控制端口的加法器 第3例 乘法器 第4例 比较器 第5例 二路选择器 第6例 寄存器 第7例 移位寄存器 第8例 综合单元库 第9例 七值逻辑与基本数据类型 第10例 函数 第11例 七值逻辑线或分辨函数 第12例 转换函数 第13例 左移函数 第14例 七值逻辑程序包 第15例 四输入多路器 第16例 目标选择器 第17例 奇偶校验器 第18例 映射单元库及其使用举 第19
  3. 所属分类:书籍源码

    • 发布日期:2009-04-15
    • 文件大小:344064
    • 提供者:wfl.a@163.com
  1. PIPE_LINING_CPU_TEAM_24

    1下载:
  2. 采用Quatus II编译环境,使用Verilog HDL语言编写实现了五段流水线CPU。 能够完成以下二十二条指令(均不考虑虚拟地址和Cache,并且默认为小端方式): add rd,rs,rt addu rd,rs,rt addi rt,rs,imm addiu rt,rs,imm sub rd,rs,rt subu rd,rs,rt nor rd,rs,rt xori rt,rs,imm clo rd,rs clz rd,rs slt rd,rs,rt sltu rd,
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-17
    • 文件大小:4947366
    • 提供者:
  1. MIPS32ALU

    0下载:
  2. VHDL MIPS 32位ALU的设计,基于Quaryus II平台-VHDL MIPS 32 位 ALU design platform based on Quaryus II
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:299351
    • 提供者:逆天之刃
  1. alu_32_bit

    0下载:
  2. 用Verilog编写的32位ALU(运算器),具有与、或逻辑运算;加、减算术运算;小于置一,零检测,以及溢出检测等功能。其中加法运算是采用了快速进位链-32bitALU
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-24
    • 文件大小:2019
    • 提供者:zhyan
  1. ADSP-21262

    0下载:
  2. High performance 32-bit/40-bit floating-point processor Code compatibility—at assembly level, uses the same instruction set as other SHARC DSPs Single-instruction multiple-data (SIMD) computational architecture— two 32-bit IEEE floating-point
  3. 所属分类:Development Research

    • 发布日期:2017-03-30
    • 文件大小:507942
    • 提供者:ak
  1. ADSP_2126x_HRM

    0下载:
  2. High performance 32-bit/40-bit floating-point processor Code compatibility—at assembly level, uses the same instruction set as other SHARC DSPs Single-instruction multiple-data (SIMD) computational architecture— two 32-bit IEEE floating-point
  3. 所属分类:source in ebook

    • 发布日期:2017-04-10
    • 文件大小:1542551
    • 提供者:ak
  1. alu_details

    1下载:
  2. A 32-Bit ALU Design Example
  3. 所属分类:Project Design

    • 发布日期:2017-05-10
    • 文件大小:2218886
    • 提供者:vinoth
  1. vhdl-cpu-16-bit

    0下载:
  2. VHDL processsor 32 bit ALU SRF BUS DATA ADRESS C16 System On Chip Architecture
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-27
    • 文件大小:976737
    • 提供者:luis
  1. alu_32bit_tb

    0下载:
  2. alu 32 bit using opcode which performs certain operation
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:615
    • 提供者:kavya
  1. CPU-with-VHDL-16-32

    0下载:
  2. 在quartus中运行的32位指令集的16位CPU程序,模块化设计,包括MBR, BR, MR, ACC, MAR, PC, IR, CU, ROM, RAM, ALU等模块-In the the quartus run 32 16-bit CPU instruction set procedures, modular design, including the MBR, BR, MR, the ACC, the MAR, the PC, the IR CU, the ROM, RAM, ALU
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:1651752
    • 提供者:
  1. tmr

    0下载:
  2. triple modular redundancy. for 32 bit alu
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:371288
    • 提供者:neeha
  1. alu

    0下载:
  2. It is 32 bit ALU code in Verilog HDL programming Language
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-18
    • 文件大小:520
    • 提供者:srikanth
  1. VeriRISC_CPU_Verilog

    1下载:
  2. Verilog硬件描述语言实现VeriRISC CPU。模块包含:8位寄存器,5位计数器,32*8 RAM,8位ALU,MUX,顺序控制器,时钟生成器。包含TB。-This code is to model a VeriRISC CPU. It incorporates several modules: 8-bit register, 5-bit counter, 32 by 8 RAM, 8-bit ALU, scalable MUX, sequence controller, and clo
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-20
    • 文件大小:8750
    • 提供者:张昊溢
  1. alu

    0下载:
  2. 32位alu模块实现加减法、逻辑运算、移位、比较和置高位立即数等功能。verilog实现。-32-bit alu module achieves functions like addition and subtraction, logical operations, shift, compare, and set a high immediate number by verilog
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-02
    • 文件大小:906806
    • 提供者:sherlydunn
  1. 1.6ALU-Behavioral

    0下载:
  2. behavior方式的简单ALU 实现了以下功能:all operations are combinational  ADD/SUB on N bits operands  MULTIPLY on N/2 bits operands (Least Significant Part of), result on N bits.  bitwise AND, OR, XOR on 32 bits operands.  Logical Shift Left, Right, Rot
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-08
    • 文件大小:108571
    • 提供者:young
  1. ALU32

    1下载:
  2. 采用booth算法,实现了32位的ALU。(The 32 bit ALU is realized by using the Booth algorithm.)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-04-22
    • 文件大小:1757184
    • 提供者:jetyeah
« 1 23 »
搜珍网 www.dssz.com